summaryrefslogtreecommitdiffstats
path: root/tests/heb/cfg
diff options
context:
space:
mode:
Diffstat (limited to 'tests/heb/cfg')
-rwxr-xr-xtests/heb/cfg/BoardOFF.sh11
-rwxr-xr-xtests/heb/cfg/BoardOn.sh57
-rw-r--r--tests/heb/cfg/README11
-rwxr-xr-xtests/heb/cfg/Set_ADC_1_Delay.sh10
-rwxr-xr-xtests/heb/cfg/Set_ADC_2_Delay.sh10
-rwxr-xr-xtests/heb/cfg/Set_ADC_3_Delay.sh10
-rwxr-xr-xtests/heb/cfg/Set_ADC_4_Delay.sh11
-rwxr-xr-xtests/heb/cfg/Set_Default.sh30
-rwxr-xr-xtests/heb/cfg/Set_Delay_chip.sh11
-rwxr-xr-xtests/heb/cfg/Set_FPGA_clock_delay.sh10
-rwxr-xr-xtests/heb/cfg/Set_TH_Delay.sh10
11 files changed, 0 insertions, 181 deletions
diff --git a/tests/heb/cfg/BoardOFF.sh b/tests/heb/cfg/BoardOFF.sh
deleted file mode 100755
index 351bcb8..0000000
--- a/tests/heb/cfg/BoardOFF.sh
+++ /dev/null
@@ -1,11 +0,0 @@
-#!/bin/bash
-
-
-echo " ************************************************************** "
-echo " Board OFF"
-echo " ************************************************************** "
-
-pci -w 0x9040 0x01
-sleep 0.5
-
-pci --stop-dma dma1
diff --git a/tests/heb/cfg/BoardOn.sh b/tests/heb/cfg/BoardOn.sh
deleted file mode 100755
index b14c73b..0000000
--- a/tests/heb/cfg/BoardOn.sh
+++ /dev/null
@@ -1,57 +0,0 @@
-#!/bin/bash
-
-echo " ************************************************************** "
-echo " Start DMA"
-echo " ************************************************************** "
-
-pci --start-dma dma1
-sleep 0.5
-pci --list-dma-engines
-
-
-echo " ************************************************************** "
-echo " Board ON procedure"
-echo " ************************************************************** "
-
-pci -w 0x9040 0x01
-sleep 1
-
-echo "switch ON the power supply --> FIRST <--"
-echo "Press a key to continue ...."
-read -n 1 -s
-
-echo "Switch ON T/Hs"
-pci -w 0x9040 0x3C1
-pci -r 0x9040 -s1
-
-echo "switch ON the power supply --> SECOND <--"
-echo "Press a key to continue ...."
-read -n 1 -s
-
-echo "Switch ON ADCs"
-pci -w 0x9040 0x3F1
-pci -r 0x9040 -s1
-sleep 0.1
-
-
-pci -w 0x9040 0x3F0
-pci -r 0x9040 -s1
-sleep 1
-
-echo " Status ................... "
-pci -r 0x9000 -s 40
-
-
-
-./PLL_conf_calib_3001.sh
-
-echo " Status ................... "
-pci -r 0x9000 -s 40
-
-
-echo " ************************************************************** "
-echo " Board Ready"
-echo " ************************************************************** "
-
-
-echo " --> remember to run: ./Set_Default.sh"
diff --git a/tests/heb/cfg/README b/tests/heb/cfg/README
deleted file mode 100644
index 125bc0d..0000000
--- a/tests/heb/cfg/README
+++ /dev/null
@@ -1,11 +0,0 @@
- - Initializing
- ./BoardOn.sh
- ./Set_Default.sh
-
- - Configuring the size
- pci -w 9020 0x20 (linear scale)
-
- * Number of samples are determined by 0x9020 / 0x9028 (0x0e by default)
- * For each sample is descibed by (184 / 2) * 128 bits
- * Where most-significant bytes of 4 dwords (128 bit) are iterating
- from 0x00 to 0xb8 with step 0x02
diff --git a/tests/heb/cfg/Set_ADC_1_Delay.sh b/tests/heb/cfg/Set_ADC_1_Delay.sh
deleted file mode 100755
index bd8f980..0000000
--- a/tests/heb/cfg/Set_ADC_1_Delay.sh
+++ /dev/null
@@ -1,10 +0,0 @@
-#!/bin/bash
-
-echo "Set CH_4 clock ADC 1 delay... "
-
-upfix=000501
-fixed=4
-
- hex_val=$(printf "%01x\n" $1)
- echo "Set $hex_val --> Time value picosecond = `expr $1 "*" 150`."
- pci -w 0x9060 $upfix$hex_val$fixed
diff --git a/tests/heb/cfg/Set_ADC_2_Delay.sh b/tests/heb/cfg/Set_ADC_2_Delay.sh
deleted file mode 100755
index d6cdf75..0000000
--- a/tests/heb/cfg/Set_ADC_2_Delay.sh
+++ /dev/null
@@ -1,10 +0,0 @@
-#!/bin/bash
-
-echo "Set CH_5 clock ADC 2 delay... "
-
-upfix=000501
-fixed=5
-
- hex_val=$(printf "%01x\n" $1)
- echo "Set $hex_val --> Time value picosecond = `expr $1 "*" 150`."
- pci -w 0x9060 $upfix$hex_val$fixed
diff --git a/tests/heb/cfg/Set_ADC_3_Delay.sh b/tests/heb/cfg/Set_ADC_3_Delay.sh
deleted file mode 100755
index 567b977..0000000
--- a/tests/heb/cfg/Set_ADC_3_Delay.sh
+++ /dev/null
@@ -1,10 +0,0 @@
-#!/bin/bash
-
-echo "Set CH_6 clock ADC 3 delay... "
-
-upfix=000501
-fixed=6
-
- hex_val=$(printf "%01x\n" $1)
- echo "Set $hex_val --> Time value picosecond = `expr $1 "*" 150`."
- pci -w 0x9060 $upfix$hex_val$fixed
diff --git a/tests/heb/cfg/Set_ADC_4_Delay.sh b/tests/heb/cfg/Set_ADC_4_Delay.sh
deleted file mode 100755
index d666595..0000000
--- a/tests/heb/cfg/Set_ADC_4_Delay.sh
+++ /dev/null
@@ -1,11 +0,0 @@
-#!/bin/bash
-
-echo "Set CH_7 clock ADC 4 delay... "
-
-upfix=000501
-fixed=7
-
- hex_val=$(printf "%01x\n" $1)
- echo "Set $hex_val --> Time value picosecond = `expr $1 "*" 150`."
- pci -w 0x9060 $upfix$hex_val$fixed
-
diff --git a/tests/heb/cfg/Set_Default.sh b/tests/heb/cfg/Set_Default.sh
deleted file mode 100755
index 0149bf0..0000000
--- a/tests/heb/cfg/Set_Default.sh
+++ /dev/null
@@ -1,30 +0,0 @@
-#!/bin/bash
-
-echo "Set Defaults delay value in the board... "
-
-./Set_FPGA_clock_delay.sh 0
-sleep 0.1
-
- ./Set_Delay_chip.sh 16 16 16 16
-sleep 0.1
-
-./Set_TH_Delay.sh 12
-sleep 0.1
-
-./Set_ADC_1_Delay.sh 5
-sleep 0.1
-
-./Set_ADC_2_Delay.sh 5
-sleep 0.1
-
-./Set_ADC_3_Delay.sh 5
-sleep 0.1
-
-./Set_ADC_4_Delay.sh 5
-
-#pci -w 0x9020 200b20
-pci -w 0x9020 20
-pci -w 0x9028 e
-
-echo " DONE ................ "
-
diff --git a/tests/heb/cfg/Set_Delay_chip.sh b/tests/heb/cfg/Set_Delay_chip.sh
deleted file mode 100755
index 3d15cad..0000000
--- a/tests/heb/cfg/Set_Delay_chip.sh
+++ /dev/null
@@ -1,11 +0,0 @@
-#!/bin/bash
-
- zero=0
- hex_val1=$(printf "%02x\n" $1)
- hex_val2=$(printf "%02x\n" $2)
- hex_val3=$(printf "%02x\n" $3)
- hex_val4=$(printf "%02x\n" $4)
-
- pci -w 0x9080 $zero$hex_val4$hex_val3$hex_val2$hex_val1
- pci -r 0x9080 -s 1
- sleep 0.5
diff --git a/tests/heb/cfg/Set_FPGA_clock_delay.sh b/tests/heb/cfg/Set_FPGA_clock_delay.sh
deleted file mode 100755
index f4d0f46..0000000
--- a/tests/heb/cfg/Set_FPGA_clock_delay.sh
+++ /dev/null
@@ -1,10 +0,0 @@
-#!/bin/bash
-
-echo "Set delay on T/Hs signals... "
-
-upfix=000501
-fixed=0
-
- hex_val=$(printf "%01x\n" $1)
- echo "Set $hex_val --> Time picosecond = `expr $1 "*" 150`."
- pci -w 0x9060 $upfix$hex_val$fixed
diff --git a/tests/heb/cfg/Set_TH_Delay.sh b/tests/heb/cfg/Set_TH_Delay.sh
deleted file mode 100755
index 9a6e58f..0000000
--- a/tests/heb/cfg/Set_TH_Delay.sh
+++ /dev/null
@@ -1,10 +0,0 @@
-#!/bin/bash
-
-echo "Set delay on T/Hs signals... "
-
-upfix=000501
-fixed=3
-
- hex_val=$(printf "%01x\n" $1)
- echo "Set $hex_val --> Time picosecond = `expr $1 "*" 150`."
- pci -w 0x9060 $upfix$hex_val$fixed